summaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* In depgraph.create(), don't ignore direct circular dependenciesZac Medico2007-10-222-40/+35
| | | | | | | | | | | | | | | anymore since altlist() is able to handle it properly in cases where the dependency is satisfied. If the dep is unsatisfied then it can not be ignored. (trunk r8225) Allow the depgraph to add old-style virtual providers but prefer any pre-existing providers over new ones that are added. This prevents things like ghc-6.4.2 from selecting itself to satisfy it's own virtual/ghc dependency (creating a circular dependency) in cases where it should select the default ghc-bin provider. (trunk r8185:8187) svn path=/main/branches/2.1.2/; revision=8229
* Bug #196680 - Handle a CacheError inside mirror_cache() whenZac Medico2007-10-221-0/+4
| | | | | | reading from the source cache. (trunk r8222) svn path=/main/branches/2.1.2/; revision=8223
* Bug #196537 - Make portageq check that the <root> parameterZac Medico2007-10-211-7/+13
| | | | | | | is an existing directory and exit gracefully if not. (trunk r8195) svn path=/main/branches/2.1.2/; revision=8196
* Handle EnvironmentError instead of OSError since open()Zac Medico2007-10-181-4/+8
| | | | | | | | | | actually raises IOError. Also, treat a missing SLOT file as SLOT="" since it is currently possible to install an ebuild with an undefined SLOT even though repoman generates a SLOT.missing error with such an ebuild. (trunk r8174) svn path=/main/branches/2.1.2/; revision=8175
* Bug #195375 - Make dblink.treewalk() read inforoot/SLOT sinceZac Medico2007-10-181-1/+17
| | | | | | | | it differs from the expected SLOT value when when USE=multislot is enabled. A warning message will be shown if the slot differs and --quiet mode is not enabled. (trunk r8172) svn path=/main/branches/2.1.2/; revision=8173
* Adjust quote usage in collision-protect eerrorZac Medico2007-10-181-3/+3
| | | | | | output. (trunk r8170) svn path=/main/branches/2.1.2/; revision=8171
* Fix collision-protect so that it properly cancels theZac Medico2007-10-181-22/+26
| | | | | | preinst phase like it used to. (trunk r8168) svn path=/main/branches/2.1.2/; revision=8169
* Fix the normalize_needed regex to properly match //Zac Medico2007-10-171-1/+1
| | | | | | | anywhere in the path. Also add support for detection of . or .. where appropriate. (trunk r8166) svn path=/main/branches/2.1.2/; revision=8167
* Bug #196043 - Unify the ouput handling for file collisionsZac Medico2007-10-171-52/+54
| | | | | | | so that similar eerror messages are generated whether or not collision-protect is enabled. (trunk r8163) svn path=/main/branches/2.1.2/; revision=8165
* Always unlink the .installed flag prior to merge sinceZac Medico2007-10-171-1/+1
| | | | | | portage.movefile() never leaves intact. (trunk r6986) svn path=/main/branches/2.1.2/; revision=8164
* Add missing "to" to fix grammar. (trunk r8161)Zac Medico2007-10-171-1/+2
| | | | svn path=/main/branches/2.1.2/; revision=8162
* Bug #196043 - Update the file collision ewarn noticeZac Medico2007-10-171-9/+15
| | | | | | | | | | to try and clarify the cases when a bug should NOT be filed. Also, recommend the new `portageq owners / <filename>` command since it works properly even when paths are ambiguous due to symlinked directories. (trunk r8155) svn path=/main/branches/2.1.2/; revision=8160
* Bug #196043 - Implement a `portageq owners <root> [<filename>]+`Zac Medico2007-10-171-1/+66
| | | | | | | | | command that is suitable for identifying all packages that own one or more files when a file collision has occurred. This uses dblink.isowner() so that the query works properly even when paths are ambiguous due to symlinked directories. (trunk r8154) svn path=/main/branches/2.1.2/; revision=8159
* Optimize dblink.isowner() to use fewer stat calls byZac Medico2007-10-171-15/+38
| | | | | | | | | | only collecting stat results for parent directories. This provides equivalent accuracy to the previous approach but will perform much better when used to scan all installed packages for owners in the event of a file collision. (trunk r8153) svn path=/main/branches/2.1.2/; revision=8158
* Fix a typo.Zac Medico2007-10-171-1/+1
| | | | svn path=/main/branches/2.1.2/; revision=8157
* In dblink.getcontents(), use a regular expression to detectZac Medico2007-10-171-3/+14
| | | | | | | | | | when path normalization is required. Also, only join with ${ROOT} when necessary. This allows unnecessary normpath and join calls to be optimized away in the general case, reducing the cpu time for `equery belongs <filename>` by about 50%. (trunk r8150:8152) svn path=/main/branches/2.1.2/; revision=8156
* Fix --help summary so that "commit" mode shows. (trunk r8140)Zac Medico2007-10-151-1/+1
| | | | svn path=/main/branches/2.1.2/; revision=8141
* Update emacs and vim docs to reference NOTE_2. (trunk r8138)Zac Medico2007-10-151-3/+3
| | | | svn path=/main/branches/2.1.2/; revision=8139
* Update NOTE_2 to document proper use of the using_editorZac Medico2007-10-151-1/+2
| | | | | | config option. (trunk r8136) svn path=/main/branches/2.1.2/; revision=8137
* Bug #195949 - Add one more using_editor conditional forZac Medico2007-10-151-2/+10
| | | | | | diff_command. (trunk r8130) svn path=/main/branches/2.1.2/; revision=8135
* Bug #195949 - Use a different diff_command sanity checkZac Medico2007-10-151-7/+14
| | | | | | when using_editor is true. (trunk r8129) svn path=/main/branches/2.1.2/; revision=8134
* Document the "manifest" mode. (trunk r8126)Zac Medico2007-10-151-0/+3
| | | | svn path=/main/branches/2.1.2/; revision=8133
* Sort the modes in the repoman --help output. (trunk r8125)Zac Medico2007-10-151-0/+3
| | | | svn path=/main/branches/2.1.2/; revision=8132
* Remove the checks for files in symlinked directoriesZac Medico2007-10-151-12/+3
| | | | | | | | | from the collision protect code since it hasn't been needed ever since the followSymlinks parameter was disabled for portage.listdir() calls in order to prevent infinite recursion loops. (trunk r8120:8124) svn path=/main/branches/2.1.2/; revision=8131
* In some cases, openpty can be slow when it fails. Therefore,Zac Medico2007-10-131-7/+16
| | | | | | stop trying to use it after the first failure. (trunk r8119) svn path=/main/branches/2.1.2/; revision=8120
* Avoid an error message from find when "${D}"/usr/shareZac Medico2007-10-131-1/+1
| | | | | | does not exist. (trunk r8117) svn path=/main/branches/2.1.2/; revision=8118
* In the file collision eerror output, don't colorize theZac Medico2007-10-131-3/+2
| | | | | | | file paths since the escape codes look ugly in the log. (trunk r8113:8115) svn path=/main/branches/2.1.2/; revision=8116
* Handle the PortageException that can be raised fromZac Medico2007-10-131-1/+6
| | | | | | portage.mail.send_mail(). (trunk r8102) svn path=/main/branches/2.1.2/; revision=8103
* Make the file collision eerror message less verboseZac Medico2007-10-131-9/+11
| | | | | | when in --quiet mode. (trunk r8100) svn path=/main/branches/2.1.2/; revision=8101
* In dblink.treewalk(), use os.walk() instead of portage.listdir()Zac Medico2007-10-131-13/+21
| | | | | | | | | | | | | in order to avoid cacheddir() bloat when listing the files to be merged from ${D}. Remove a chdir() call that's no longer needed for the collision-protect symlink code. (trunk r8095:8098) svn path=/main/branches/2.1.2/; revision=8099
* Bug #195370 - Filter some more bogus matches due toZac Medico2007-10-121-1/+5
| | | | | | old-style virtuals. (trunk r8093) svn path=/main/branches/2.1.2/; revision=8094
* Remove a redundant call to elog_process(). (trunk r8091)Zac Medico2007-10-121-1/+0
| | | | svn path=/main/branches/2.1.2/; revision=8092
* s:this package wants:this package will: (trunk r8089)Zac Medico2007-10-121-1/+1
| | | | svn path=/main/branches/2.1.2/; revision=8090
* Bug #195527 - Unconditionally detect file collisions and logZac Medico2007-10-121-4/+37
| | | | | | | | | them as eerror messages via elog. This will allow us to collect more file collision data but it won't be quite as annoying as enabling collision-protect by default would be. (trunk r8085:8087) svn path=/main/branches/2.1.2/; revision=8088
* Raise a PackageNotFound exception instead of a ValueErrorZac Medico2007-10-121-2/+2
| | | | | | | in order to avoid ambiguity if an unexpected ValueError occurs. (trunk r8043) svn path=/main/branches/2.1.2/; revision=8072
* Remove ambiguous "you should edit this file" warning.Zac Medico2007-10-121-2/+1
| | | | | | (trunk r8040) svn path=/main/branches/2.1.2/; revision=8071
* Bug #195370 - Prevent bogus upgrade display when aZac Medico2007-10-121-4/+9
| | | | | | | new-style virtual is first installed and it replaces an old-style virtual. (trunk r8039) svn path=/main/branches/2.1.2/; revision=8070
* Make portdbapi.aux_get() cache more often. (trunk r8011)Zac Medico2007-10-121-0/+2
| | | | svn path=/main/branches/2.1.2/; revision=8069
* Properly exclude old-style virtuals from theZac Medico2007-10-121-2/+4
| | | | | | portdbapi.cp_list() cache. (trunk r8010) svn path=/main/branches/2.1.2/; revision=8068
* Remove a redundant os.access() call in portdbapi.aux_get()Zac Medico2007-10-121-3/+3
| | | | | | and handle the potential OSError instead. (trunk r8009) svn path=/main/branches/2.1.2/; revision=8067
* Optimize away a match_from_list() call insideZac Medico2007-10-121-4/+15
| | | | | | | | portdbapi.xmatch("match-all") when given atom has no operator or version. Also, make cp_list() use the xmatch("match-all") cache when possible. (trunk r8008) svn path=/main/branches/2.1.2/; revision=8066
* Move the "commit" mode manifest generation earlier in orderZac Medico2007-10-121-9/+6
| | | | | | | to avoid digest verification issues when FEATURES="strict" is enabled. (trunk r7994:7996) svn path=/main/branches/2.1.2/; revision=8065
* add --debug option and display a message about skippingZac Medico2007-10-121-3/+9
| | | | | | | | non-files so we dont get confusing loops where portage claims there are things to update but etc-update claims there are not (trunk r7994) svn path=/main/branches/2.1.2/; revision=8064
* add standard --help/--version options (trunk r7993)Zac Medico2007-10-121-1/+25
| | | | svn path=/main/branches/2.1.2/; revision=8063
* unify diff_command handling (trunk r7992)Zac Medico2007-10-121-16/+19
| | | | svn path=/main/branches/2.1.2/; revision=8062
* add support for .lzma / .tar.lzma to unpackZac Medico2007-10-121-0/+8
| | | | | | (trunk r7991) svn path=/main/branches/2.1.2/; revision=8061
* add QA check for .pyc/.pyo files in /usr/shareZac Medico2007-10-121-0/+10
| | | | | | (trunk r7990) svn path=/main/branches/2.1.2/; revision=8060
* Handle Exceptions in mod_mail_summary.finalize() andZac Medico2007-10-122-1/+10
| | | | | | | check if the server actually supports TLS, bug #183808 (trunk r7984 and r8058) svn path=/main/branches/2.1.2/; revision=8059
* let dohtml handle weird filenames, patch by TGLZac Medico2007-10-121-6/+6
| | | | | | (bug #171272) (trunk r7983) svn path=/main/branches/2.1.2/; revision=8057
* Add @localhost to default PORTAGE_ELOG_MAILFROM toZac Medico2007-10-121-1/+1
| | | | | | | account for mail servers that don't accept mails without a domainname (trunk r7982) svn path=/main/branches/2.1.2/; revision=8056