From 77960a22494ec97e71297cf406a19c8e138a793c Mon Sep 17 00:00:00 2001 From: "Chris St. Pierre" Date: Fri, 11 May 2012 10:11:03 -0400 Subject: remove extraneous assignment of package version --- src/lib/Bcfg2/Server/Plugins/Packages/__init__.py | 1 - 1 file changed, 1 deletion(-) (limited to 'src/lib/Bcfg2/Server/Plugins/Packages') diff --git a/src/lib/Bcfg2/Server/Plugins/Packages/__init__.py b/src/lib/Bcfg2/Server/Plugins/Packages/__init__.py index 4b625c336..3f5c46aab 100644 --- a/src/lib/Bcfg2/Server/Plugins/Packages/__init__.py +++ b/src/lib/Bcfg2/Server/Plugins/Packages/__init__.py @@ -88,7 +88,6 @@ class Packages(Bcfg2.Server.Plugin.Plugin, def HandleEntry(self, entry, metadata): if entry.tag == 'Package': collection = self._get_collection(metadata) - entry.set('version', 'auto') entry.set('version', self.config.get("global", "version", default="auto")) -- cgit v1.2.3-1-g7c22